《电子技术应用》
您所在的位置:首页 > 电源技术 > 业界动态 > 新基建引领中国汽车产业革新

新基建引领中国汽车产业革新

2020-05-12
来源:与非网

引言:

我们正在经历一场伟大的汽车革命,这次颠覆性变革将带来可再生能源、电动化、网联化、智能化、共享化的高度融合,中国汽车行业迎来百年难得的发展窗口期,新能源充电桩纳入新基建,成为国家基础设施建设的重点。汽车电子助推“新四化”趋势加速到来,同时,新的产业变革正给汽车电子带来新的机遇与挑战!

 

正文:

2020 年 3 月初,中央部署加快新型基础设施建设进度,新能源汽车充电桩迎来重大利好,成为新基建重点之一。新能源汽车充电桩作为新基建板块,最早出现在 2018 年经济工作会议中。在十三五期间,国家已全面推广发展新能源产业,其中就包括实现新能源汽车规模应用和加速构建规范边界的基础设施体系 / 充电基础设施体系。一段时间以来,国家持续引导和主推新能源产业发展。

 

发展新能源汽车是我国从汽车大国走向汽车强国的必由之路,推进充电基础设施建设是落实这一战略的有力保障。2015 年至 2019 年我国充电桩保有量从 6.6 万台增加到 121.9 万台,同期新能源汽车保有量从 42 万辆增加到 381 万辆,对应车桩比从 2015 年 6.4:1 下降到 2019 年 3.1:1,充电配套有所改善。然而,从支持我国新能源汽车行业高速发展的要求来看,充电桩配套尚显不足。

 

5eb8e960a259d-thumb.png


数据来源:泽平宏观、中国充电联盟、公安部、恒大研究院

 

按照“新基建”的规划,2020 年将计划新增集中式充换电站超过 1.2 万座,分散式充电桩超过 480 万个,到 2025 年建设超过 3.6 万个充换电站,全国车桩比将达到 1 比 1。赛迪顾问公司发布的研究报告显示,根据车桩比 1∶1 的建设目标,未来 10 年,中国新建充电桩将达到 6300 万根,将形成超 1 万亿元的充电桩基础设施建设市场。

 

国务院发展研究中心市场经济研究所副所长王青表示,“新基建”推进新能源充电桩的建设,这将大幅改善新能源汽车在使用过程中的便利性。从某种程度上而言,这将成为我国新能源汽车市场增长的重要支撑力量。汽车是国家的重要支柱性产业,新能源汽车作为我国弯道超车的一个策略,不仅自身发展迅速,现在看来,对能源产业也将带来革新的机会。新能源汽车、新能源充电桩两者之间是共同促进和联动发展的关系。新基建将新能源充电桩建设作为七大发展领域之一,对于新能源汽车和充电桩企业来说都是重大利好。可以肯定的是,接下去 5-10 年,中国将迎来新能源汽车、新能源充电桩的大发展时期。

  

5eb8e960a25b6-thumb.png

5eb8e9608c70f-thumb.png

数据来源:盖世汽车

 

中国新能源汽车市场将带来半导体新机遇


中国高度重视新能源汽车发展,向燃油车说“再见”的时间或许比大多数人预期来得更快。随着汽车行业对“新四化”(电动化、网联化、智能化、共享化)的加速投入研发,中国的汽车工业正经历着第三次造车浪潮。汽车行业正在深度探讨 5G、人工智能,智能网联、汽车轻量化、新能源、安全与检测等新型热点话题。

 

中国工程院院士李德毅称,现在智能化越来越重要,加上 5G 和道路的智能化,让自动驾驶变得越来越可能。过去的自动驾驶可以说主要是单车智能,智能网联则可以被认为是使能自动驾驶的生态环境。现在,人车路三个要素结合起来,就是中国的自动驾驶和智能网联的特色。

 

伴随着智能网联新能源汽车的发展,当前汽车电子已经进入新一轮技术革新周期,汽车电子渗透率及单车价值量都将会得到大幅提升,市场空间超万亿;国内汽车市场作为全球汽车产业引擎,保有量迅速增长。国内市场将在新一轮汽车电子化技术革命中将扮演重要角色,并助力国内产业链相关公司快速成长。

 

什么是汽车电子?汽车电子是汽车电子控制系统与车载电子电器系统的总称,其中汽车电子控制系统包括发动机电子系统、底盘电子系统、驾驶辅助系统系统与车身电子系统,车载电子电器系统包括安全舒适系统及信息娱乐与网联系统,六大系统中以信息娱乐与网联系统、自动驾驶系统技术迭代最为迅速,汽车电子化已然在引导现代汽车技术发展的又一次革命。

 

从市场角度来看新能源智能网联汽车时代的半导体机遇,可以看到:


首先,新能源智能网联汽车电子化程度会越来越高,汽车电子系统市场急剧增长。在 2004 年,仅有四分之一的出厂车辆内置安全气囊,而配有前装电动座椅的车辆不足 50%。如今,汽车行业的创新大多出现在电子系统而非机械层面。2007 年到 2017 年期间,汽车电子成本占整车成本比例从约 20%上升至 40%左右,2030 年预计达到近 50%。

 

5eb8e9608c6b1-thumb.png


数据来源:IHS,德勤分析


其次,汽车半导体供应商正获益于为控制单元(MCU)、传感器(Sensor)、存储器(Memory)等各类半导体需求的大幅上涨。到 2022 年,半导体成本预计将达到每车近 600 美元。根据预测,未来几年中国汽车主流芯片市场需求将分布在智能座舱、自动驾驶、T-Box 和 C-V2X、网关等应用。

 

中国汽车半导体的现状和挑战

 

汽车行业对半导体厂商而言并非一个陌生的市场。事实上,许多厂商多年前就已进入了汽车领域。然而,由于客户规模不足,当时汽车市场并非半导体厂商的重要收益来源,而且流程验证周期长,与消费电子相比销量又很低。由于高级驾驶辅助系统、人工智能、数字互联以及传感器等汽车电子的需求不断增加,市场氛围越来越热烈,汽车电子在整车设计中的重要性日益加强。

 

智能网联和新能源汽车的快速发展推动着汽车芯片市场的格局重构,当前全球领先的车用电子芯片厂商在各功能模块均有布局。由于 ADAS、自动驾驶、车联网与车载娱乐服务系统均需要高性能的处理芯片,因此随着汽车对于驾驶体验和安全性能需求的快速提升,对应的芯片需求不断扩大,尤其是 ADAS 和新能源电动汽车领域的头部芯片厂商参与者众多。

 

虽然目前全球头部汽车芯片厂商对于芯片的布局基本涉及全部的汽车模块分类,但是由于汽车芯片较长的设计周期和较高的技术壁垒,恩智浦,英飞凌,瑞萨,德州仪器,意法半导体等高端市场供应商能够相对地专注于不同的产品和细分市场。


5eb8e960a2832-thumb.png

 
数据来源:智东西、中信证券

 

整个汽车芯片呈现国外巨头垄断的行业格局。随着中美贸易摩擦不断升级,对中国车企引入国外核心技术及核心零部件都增加了障碍。但时势造英雄,严峻的形势也为中国汽车行业提供了机会,势必加速中国汽车芯片产业的发展,加快自主研发和创新的步伐。

 

虽然国内汽车芯片公司面临市场被巨头垄断、进入技术壁垒高、缺乏经验等种种困难,但也正奋发图强。几年前,在中国还找不出几家做前装汽车芯片的公司。而如今,突然如雨后春笋般涌现出二三十家,包括地平线、黑芝麻、芯驰等,其范围涵盖了辅助驾驶、中控、仪表盘、T-Box、网关、车身控制、电池管理、硬件加解密、激光雷达、毫米波雷达、图像传感器和图像信号处理器等,八仙过海各显神通。


值得关注的是,除了芯片设计公司正在积极进入汽车芯片开发,一些主流车厂也加入到芯片开发阵营中。其中最吸引眼球的是特斯拉公司,早在 2015 年,特斯拉曾与 Mobileye 合作,采用其芯片 EyeQ3。之后,特斯拉与另一芯片巨头英伟达合作。而今,特斯拉生出了自研芯片的心思,并取得巨大成功。追随特斯拉的脚步,国际整车厂丰田、宝马、大众、戴姆勒、福特等纷纷以股权投资、合作开发的方式投身汽车电子研发。中国国内比亚迪、上汽、吉利、一汽、东风等也已具备前瞻性视野,以各种形式参与芯片研发,拥抱汽车产业的全新革命——电动化、智能化、网联化,走进软件与硬件结合的时代,探索自己的未来。中国整车厂以雄厚财力、应用场景等参与上游芯片设计领域,会更有利于推动中国本土车用芯片设计快速成长。


然而,做芯片难,做汽车芯片更难。汽车芯片无论对国外还是国内芯片设计公司、无论对国际还是中国整车厂,都是比较难啃的一块骨头,那么到底难在哪里呢?总结为安全性和可靠性两大难点。

 

ISO 26262

 

ISO 26262 是汽车安全性国际标准,此标准主要定位在汽车中特定的电气器件、电子设备、可编程电子器件等专门用于汽车领域的部件,旨在提高汽车电子、电气产品的功能安全。为什么汽车行业对 ISO 26262 如此重视?这是因为每一辆生产出来的汽车上路之后都会融入我们的日常生活,一旦汽车上的软硬件设备和系统发生失效或故障,都有可能危害到人类的生命与财产安全,后果可能相当严重。为了让人们对汽车安全相关功能有更好的理解,以及指导电子设备和系统设计人员该如何避免这些风险,ISO 组织制定了汽车功能安全管理体系,称为 ISO 26262。

 

ISO 26262 为汽车安全提供了一个生命周期理念:管理、开发、生产、经营、服务、报废。同时标准涵盖功能性安全方面的整体开发过程:需求规划、设计、实施、集成、验证、确认和配置。一句话概括,就是对汽车产品开发和设计来说,从项目最开始阶段就需要把安全相关的理念加入到产品规划和设计中。把安全管理加入到产品规划和设计的具体做法。

 

目前,ISO 26262 还只是推荐标准,但在可预见的未来,世界级车厂、包括国内的主流车厂都会要求与安全相关的产品要符合 ISO 26262 标准。中国也积极参与了 ISO 26262 标准的制定,虽然未来 ISO 26262 在中国的执行方式待定,但业界认为强制执行的可能性较大。ISO 26262 对于电子设备和系统制造商是一个保护性措施。ISO 26262 是目前最先进的汽车安全标准,在可能的事故诉讼中,若是由已通过 ISO 26262 标准的电子设备或系统导致的故障,只需承担有限责任。

 

我们再来了解下 ASIL,英文全称是 Automotive Safety Integration Level,中文是汽车安全完整性等级。ASIL 描述系统能够实现指定安全目标的概率高低。


ASIL 有四个等级,分别为 A,B,C,D,其中 A 是最低的等级,D 是最高的等级。越高的级别,对设计所要求的功能安全性越高,这种要求包括对故障的覆盖率,设计流程的可追溯,设计软件的可信赖证明等。举其中一个例子来说,ASIL A 等级对系统单点故障的覆盖率要求达到 90%以上;而 ASIL D 等级对系统单点故障的覆盖率要求达到 99%以上。
 

5eb8e960a2737-thumb.png


数据来源:新思科技


AEC-Q100

 

早年,克莱斯勒、福特和通用汽车为建立一套通用的零件资质及质量系统标准而设立了汽车电子委员会(AEC),AEC 是“Automotive Electronics Council:汽车电子协会”之缩写,由主要汽车制造商与美国的主要零部件制造商汇聚一起成立的团体,该团体以车载电子部件的可靠性以及认定标准的规格化为目的。AEC 建立了质量控制的标准。同时,由于符合 AEC 规范的零部件均可被上述三家车厂同时采用,促进了零部件制造商交换其产品特性数据的意愿,并推动了汽车零件通用性的实施,为汽车零部件市场的快速成长打下基础。

 

AEC-Q100 主要用于预防产品可能发生各种状况或潜在的故障状态,引导零部件供货商在开发的过程中就能采用符合该规范的芯片。AEC-Q100 对每一个芯片个案进行严格的质量与可靠度确认,确认制造商所提出的产品数据表、使用目的、功能说明等是否符合最初需求的功能,以及在连续使用后功能与性能是否能始终如一。AEC-Q100 标准的目标是提高产品的良品率,对芯片供货商来说,要通过 AEC-Q100,不论是在产品的尺寸、合格率,还是成本控制,都面临很大的挑战。

 

IATF16949


IATF16949 是质量管理体系—汽车行业生产件与相关服务件的组织实施 ISO9001 的特殊要求,IATF16949 只适用于汽车整车厂和其直接的零备件制造商,也就是说这些厂家须直接与生产汽车有关,能开展加工制造活动,并通过这种活动使产品增值。半导体产业链中,晶圆厂都需要严格按照 IATF16949 标准开展汽车电子的代工。


新思科技汽车芯片和软件解决方案

 

随着新一代汽车应用持续推动市场增长,设计人员面临着越来越大的压力,他们需要在满足功能安全要求的同时,依然能够实现紧迫的上市时间目标。新思科技完整汽车设计解决方案同时提供复杂的功能安全分析、设计实现和验证功能。差异化汽车设计产品,如统一功能安全验证和原生汽车解决方案,使设计人员能够在规划和实现阶段证明其芯片安全架构达到目标 ASIL 等级。

 

统一的功能安全验证解决方案将同类中最佳的故障活动管理技术集中在一个统一的控制中心。早期功能安全分析可以快速识别三模冗余(TMR)和双核锁步(DCLS)的候选对象,并对目标 ASIL 指标做出预估。新思科技的原生汽车解决方案提供业界最全面的功能集,可以高效实现和验证 TMR、DCLS 和故障安全有限状态机(FSM)等功能安全机制。可执行全面的数字 / 模拟故障注入和仿真,来生成可靠的数据,用于最终分析和汇总。新思科技还提供完整的解决方案来解决可靠性问题,包括电迁移、电压降、器件老化和强大的冗余通孔插入(RVI)功能。

 

新思科技统一功能安全验证解决方案包括:

•    VC 功能安全管理器,这是一款高质量的可扩展和分布式 FMEDA 自动化工具,通过 FMEA/FMEDA 和统一故障活动流程提供最高生产率 
•    TestMAX FuSa,可在 RTL 级或门级执行快速的早期功能安全分析 
•    Z01X™故障仿真器,用于快速、可靠的数字故障仿真 
•    VC Formal™FuSa 应用程序,通过应用形式化过滤来加快故障分类 
•    TestMax CustomFault™故障仿真器,提供高性能模拟和混合信号故障仿真,以实现全芯片功能安全和测试覆盖率分析 
•    ZeBu®仿真器,用于为使用大量软件的长时间测试执行故障仿真 
•    Verdi®故障分析,用于调试、规划和覆盖,包括与业界领先的需求跟踪工具集成 
•    Certitude®功能验证质量管理系统,用于证明验证流程的稳健性,以支持 ISO 26262 第 8-9 部分的评估。

 

目前,新思科技提供业界首套完整的用于实现和验证功能安全机制的原生集成功解决方案,帮助设计人员显著缩短上市时间的同时,确保安全关键的汽车设计的更高结果质量。

 

汽车技术的加速发展,需要更多的汽车芯片来达到自动驾驶和高级驾驶辅助系统(ADAS)的更高汽车安全完整性等级(ASIL)。新思科技原生汽车设计解决方案通过提供业界最全面的功能集来实现功能安全机制(如三模冗余(TMR)、双核锁步(DCLS)和故障安全有限状态机(FSM)),使设计人员能够实现其目标 ASIL。

 

通过原生汽车解决方案,设计人员可以在设计流程早期生成业界首个功能安全意图,来描述在整个数字设计流程中用作输入并得到维护的安全机制行为。新思科技原生汽车解决方案整合了多项功能安全技术的完整数字设计流程,这些技术相互配合,最大限度提高效率,其中包括: 
       
•    TestMAX FuSa,在 RTL 级或门级执行早期功能安全分析,并确定 TMR 或 DCLS 的候选对象,以实现目标 ASIL 的单点故障指标(SPFM)目标 
•    Design Compiler® NXT 综合、IC Compiler™II 布局布线和 Fusion Compiler™设计,插入、检查并报告已实现的安全机制 
•    Formality®等价性检查器,在冗余或附加逻辑模块插入后在功能上验证 RTL 是否与网表匹配 
•    IC Validator 物理签核,验证版图并报告所有冗余机制均已正确实现


除芯片设计及验证外,新思科技还提供一系列通过汽车认证的 IP,它们获得了 ISO 26262 认证,经过设计和测试能够满足 1 级和 2 级温度要求,同时符合 AEC-Q100 可靠性和 ISO 9001 质量管理体系标准。一系列高速接口、处理器和基础 IP,可帮助汽车芯片公司根据面向 ISO 26262 功能安全性、可靠性和质量的汽车标准定义进行设计和测试。主流汽车芯片公司都在使用这些 IP 来设计车载 SoC,用于 ADAS、MCU、信息娱乐和互联汽车应用,这些 IP 已经过验证,能够加速 SoC 车规级认证。

 

此外,作为一家跨半导体和整车的汽车电子技术方案领导者,新思科技面向整车厂和零部件供应商提供八大核心技术,包括:系统功能仿真、前期架构设计、虚拟原型设计、线束设计、虚拟故障注入、系统及零部件的软硬件在环、高性能系统性能与功耗分析,和软件质量及信息安全。

 

多年来,新思科技成熟先进的芯片设计工具、符合汽车行业标准认证的 IP 核、验证、仿真、虚拟原型设计等全套解决方案 ,帮助合作伙伴实现安全关键的汽车设计的更高结果质量,帮助其产品实现车规级标准并缩短上市时间。

 

最新部分合作案例如下:

•    2020 年 1 月,新思科技与保时捷共同编写了《为数字未来加速汽车开发》白皮书,勾勒最为先进的汽车电子架构开发流程。该白皮书旨在基于保时捷系统工程原则,在现有汽车开发过程中融入新思科技 Triple Shift-Left 方法学。这一方法学可以让车厂(OEM)凭借车规级 IP 核,以更快的速度将安全性和保障性加入汽车芯片设计之中;通过虚拟原型解决方案,实现最早 18 个月前开始软件开发,并在整条供应链上的开发和测试方面,对软件安全性和品质进行评估。


•    2019 年 11 月,新思科技与三星携手交付全面汽车芯片设计解决方案。该解决方案实现了自动驾驶和高级驾驶辅助系统(ADAS)的目标汽车安全完整性等级(ASIL)。作为合作的一部分,三星和新思科技联手推出了三星的汽车参考流程来满足安全关键型设计的目标 ASIL 等级。基于三星 8LPP 工艺开发的汽车参考流程经过调试,能够在不同的工艺技术上体现优势。


•    2019 年 9 月,英飞凌与新思科技合作,英飞凌的下一代 AURIX™微控制器将集成一个全新的高性能 AI 加速器 – 并行处理单元(PPU),而该 PPU 将采用新思科技的 DesignWare® ARC® EV 处理器 IP。


•    2019 年 5 月,新思科技和 Elektrobit 宣布了一项利用虚拟环境加速汽车电子系统开发的合作计划。双方正合作将新思科技 Virtualizer Development Kits(简称 VDK)、EB 操作系统、开发和测试工具以及互补的专业知识结合在一起,以实现硅前和电子控制单元(ECU)前的硬件可用性和软件开发。该联合解决方案加快了系统测试周期,使汽车一级供应商和 OEM 公司能够从物理系统测试过渡到虚拟系统测试。


•    2019 年 4 月,新思科技与意法半导体合作,建立“卓越中心”,以加快汽车电子系统和软件的开发速度。该计划主要为意法半导体“恒星”系列汽车多核微控制器(MCU)提供新思科技 Virtualizer 开发工具包,使汽车系统软件开发从传统的物理测试平台转向虚拟开发平台,缩短汽车电子系统开发周期。首个支持 ST“恒星”MCU 系列的 VDK 已经面世。


•    2019 年 3 月,瑞萨电子宣布已经为其高性能汽车 SoC 与任务关键型微控制器部署新思科技 Fusion Compiler™ RTL-to-GDSII 设计实现解决方案,以便让市场更快采用下一代汽车设计。Fusion Compiler 在瑞萨广泛的验证过程中,为多个量产设计带来了最佳的时序和功耗(QoR)、更小的面积以及更快的设计收敛速度(TTR)。在初始设计解决方案成功获得多个重大利好之后,瑞萨开始广泛部署 Fusion Compiler,希望其汽车设计团队也能从中受益。


•    2018 年 10 月,新思科技推出支持 TSMC 7nm FinFET 工艺技术的汽车级 DesignWare®Controller 和 PHY IP。DesignWare LPDDR4x、MIPI CSI-2、D-PHY、PCI Express 4.0 以及安全 IP 在 TSMC 7nm 工艺技术实现了先进的汽车设计规则,以满足 ADAS 和自动驾驶芯片的可靠性及运行要求。推出此项支持 TSMC 7nm 工艺技术的汽车级 IP 进一步扩展了新思科技 FinFET 工艺的 ISO 26262 ASIL Ready IP 解决方案的产品组合,并已被十余家领先的汽车厂商所采用。该 IP 满足严格的 AEC-Q100 温度要求,为汽车芯片提供高可靠性。此外,新思科技还提供包含故障模式和 FMEDA 报告的汽车安全包,能够节省设计人员数月的开发时间,并加快芯片安全功能评估。

 

汽车的高度智能化、网联化、电子化发展趋势,使当今的车辆成为一台联网的移动计算机,这带来了汽车行业几乎没有什么经验来处理的问题:网络安全风险。汽车制造商面临的软件问题与运输公司没有什么两样,他们都面临着软件安全所固有的各种挑战。

 

新思科技与国际自动机工程师学会(SAE International)联合发布的《保护现代车辆的安全:汽车工业网络安全实践研究》报告显示,73%的汽车相关从业者表示,他们非常担心第三方所提供的汽车技术的网络安全状况;44%的受访者表示,他们的企业对上游供应商提供的产品落实了网络安全要求。新思科技软件安全部门经过验证的方法和自动化解决方案,将加强 SDLC 的每个阶段和整个软件供应链中的软件安全状况,实现安全和品质保障。识别有风险的设计,并控制缺陷和资产漏洞。

 

包含:

•    设计阶段:识别有风险的设计缺陷、控制缺陷和资产漏洞。
•    开发阶段:检测第三方组件、安全漏洞、许可证使用以及代码中的关键缺陷和弱点。

 

新思科技安全性软件解决方案还会检测第三方组件、安全漏洞、许可证使用以及代码中存在的弱点,可帮助满足 ISO 26262、MISRA 和其他新兴汽车网络安全标准。  


总结


想象一下,你期待拥有的下一部汽车是什么样子?汽车还只是你的代步交通工具吗?很多人期待汽车成为一种生活方式,娱乐、出行、智能应用、自动驾驶……人工智能、物联网、5G、云的发展,将赋能未来的汽车成为一种全新的智能设备。

 

像你熟悉的苹果手机一样,下一部车或将成为一部装上四个轮子的大型物联网设备。而这令人振奋的革命,离不开汽车电子,汽车电子则离不开芯片。新思科技全力支持基于芯片和软件的汽车创新,由内而外驱动汽车变革——以安全为动力贯穿开发、测试和整个汽车供应链、提供车规级 IP 加快硅芯片设计、贯穿整个供应链的虚拟原型技术加快软件开发,保障汽车芯片设计和验证符合车规级安全性与可靠性标准。

 

与新思科技一起,开启汽车芯片设计的全新旅程,期待下一程突破想象的智能汽车之旅!Enjoy the Next Automobile Voyage!

 

本文参考资料:
-    《半导体:未来浪潮》- 德勤
-    《充电桩:新基建,迈向新能源汽车时代》- 任泽平
-    《疫情全球化对中国汽车产业影响分析》- 盖世汽车
-    《汽车芯片:从“汽车电子”到“无人驾驶”》- 中信证券


本站内容除特别声明的原创文章之外,转载内容只为传递更多信息,并不代表本网站赞同其观点。转载的所有的文章、图片、音/视频文件等资料的版权归版权所有权人所有。本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如涉及作品内容、版权和其它问题,请及时通过电子邮件或电话通知我们,以便迅速采取适当措施,避免给双方造成不必要的经济损失。联系电话:010-82306118;邮箱:aet@chinaaet.com。